Наукова періодика України Радіоелектроніка, інформатика, управління


Mіrosсhnyk M. A. 
Design automation of easy-tested digital finite state machines / M. A. Mіrosсhnyk, Y. V. Pakhomov, A. S. Shkil, E. N. Kulak, D. Y. Kucherenko // Радіоелектроніка, інформатика, управління. - 2018. - № 2. - С. 117-124. - Режим доступу: http://nbuv.gov.ua/UJRN/riu_2018_2_15
Актуальність роботи полягає в забезпеченні мінімальних додаткових апаратурних витрат при автоматизованому проектуванні легкотестованих цифрових пристроїв, представлених моделями кінцевих автоматів, що управляють, на мовах опису апаратури. Мета роботи - розробити процедури побудови моделей легкотестованих автоматів, що управляють, на мовах опису апаратури і оцінити апаратурні витрати для різних способів надання апаратурної надмірності в HDL-моделі автоматів. Внесення в HDL-моделі кінцевих автоматів, що управляють, представлених у формі автоматного шаблону, апаратурної надмірності (додаткових фрагментів HDL-коду), які забезпечують примусову установку автомата в довільний стан без використання синхронізуючих послідовностей. Для реалізації цього підходу застосований метод розширення таблиці переходів-виходів автомата, який забезпечує режим обходу усіх вершин графа переходів автомата (станів) в режимі діагностування. Моделювання розширених HDL-моделей автомата, що управляє, засобами Active-HDL підтвердило працездатність цього підходу. Синтез цих моделей інструментальними засобами автоматизованого проектування XILINX ISE підтвердив отримання тестопридатних структур і показав мінімальні апаратурні витрати для методу, пов'язаного з розширенням таблиці переходів-виходів, в порівнянні з організацією зсувного регістра в режимі Scan Path. Висновки: у роботі вирішено завдання автоматизованого проектування тестопридатних автоматів, що управляють, на основі застосування методів установки автоматів в заданий стан. Оптимальним з точки зору апаратурних витрат способом організації установки в довільний стан автоматів, що управляють, є розширення таблиці переходів-виходів, яке підвищує керованість станів автомата і призводить до перетворення структури їх HDL-моделей в легкотестовані. Наукова новизна роботи полягає у подальшому розвитку методів підвищення тестопридатності кінцевих автоматів за рахунок розширення вхідного алфавіту в HDL-моделях у формі автоматного шаблону, що дало можливість автоматизувати процес проектування легкотестованих автоматів з використанням мов опису апаратури. Практична цінність отриманих результатів полягає у розробці процедур внесення надлишковості і розширення вхідного алфавіту в HDL-моделях кінцевих автоматів у формі автоматного шаблону шляхом внесення додаткових умовних операторів у HDL-код, які забезпечують встановлення автомату у довільний стан. Розроблені процедури можуть бути застосовані при розробці додаткового програмного модуля САПР цифрових пристроїв, який буде в автоматизованому режимі формувати HDL-код легкотестованого кінцевого автомату.
  Повний текст PDF - 932.279 Kb    Зміст випуску     Цитування публікації

Цитованість авторів публікації:
  • Mіrosсhnyk M.
  • Pakhomov Y.
  • Shkil A.
  • Kulak E.
  • Kucherenko D.

  • Бібліографічний опис для цитування:

    Mіrosсhnyk M. A. Design automation of easy-tested digital finite state machines / M. A. Mіrosсhnyk, Y. V. Pakhomov, A. S. Shkil, E. N. Kulak, D. Y. Kucherenko // Радіоелектроніка, інформатика, управління. - 2018. - № 2. - С. 117-124. - Режим доступу: http://nbuv.gov.ua/UJRN/riu_2018_2_15.

      Якщо, ви не знайшли інформацію про автора(ів) публікації, маєте бажання виправити або відобразити більш докладну інформацію про науковців України запрошуємо заповнити "Анкету науковця"
     
    Відділ інформаційно-комунікаційних технологій
    Пам`ятка користувача

    Всі права захищені © Національна бібліотека України імені В. І. Вернадського